X-Git-Url: http://v3vee.org/palacios/gitweb/gitweb.cgi?a=blobdiff_plain;f=palacios%2Finclude%2Fpalacios%2Fvmm_decoder.h;h=41817f766eb90f9080ec769f4216a2232a584abc;hb=847838d7621455a02df5d657914d7da163718234;hp=dc6756628b78186620b64544f39e12dddf5b7559;hpb=9b4bfeefac09294a6f0ae12dbadf102eb547f5ec;p=palacios.git diff --git a/palacios/include/palacios/vmm_decoder.h b/palacios/include/palacios/vmm_decoder.h index dc67566..41817f7 100644 --- a/palacios/include/palacios/vmm_decoder.h +++ b/palacios/include/palacios/vmm_decoder.h @@ -62,7 +62,7 @@ struct x86_prefixes { uint_t br_taken : 1; // 0x3E uint_t op_size : 1; // 0x66 uint_t addr_size : 1; // 0x67 -}; +} __attribute__((packed)); struct x86_instr { @@ -79,15 +79,6 @@ struct x86_instr { }; -struct basic_instr_info { - uint_t instr_length; - uint_t op_size; - uint_t str_op : 1; - uint_t has_rep : 1; -}; - - - /************************/ /* EXTERNAL DECODER API */ /************************/ @@ -99,7 +90,8 @@ struct basic_instr_info { /* * Initializes a decoder */ -int v3_init_decoder(); +int v3_init_decoder(struct guest_info * core); +int v3_deinit_decoder(struct guest_info * core); /* * Decodes an instruction @@ -118,90 +110,16 @@ int v3_decode(struct guest_info * info, addr_t instr_ptr, struct x86_instr * ins * any unused bytes at the end of instr_buf will be filled with nops * IMPORTANT: instr_buf must be allocated and 15 bytes long */ -int v3_encode(struct guest_info * info, struct x86_instr * instr, char * instr_buf); - - -/* - * Gets the operand size for a memory operation - * - */ -int v3_basic_mem_decode(struct guest_info * info, addr_t instr_ptr, struct basic_instr_info * instr_info); +int v3_encode(struct guest_info * info, struct x86_instr * instr, uint8_t * instr_buf); /* Removes a rep prefix in place */ -void v3_strip_rep_prefix(uchar_t * instr, int length); -void v3_get_prefixes(uchar_t * instr, struct x86_prefixes * prefixes); - - -/* - * JRL: Some of this was taken from the Xen sources... - */ - -#define PACKED __attribute__((packed)) - -#define MODRM_MOD(x) ((x >> 6) & 0x3) -#define MODRM_REG(x) ((x >> 3) & 0x7) -#define MODRM_RM(x) (x & 0x7) - -struct modrm_byte { - uint_t rm : 3 PACKED; - uint_t reg : 3 PACKED; - uint_t mod : 2 PACKED; -}; - - -#define SIB_BASE(x) ((x >> 6) & 0x3) -#define SIB_INDEX(x) ((x >> 3) & 0x7) -#define SIB_SCALE(x) (x & 0x7) - -struct sib_byte { - uint_t base : 3 PACKED; - uint_t index : 3 PACKED; - uint_t scale : 2 PACKED; -}; +void v3_strip_rep_prefix(uint8_t * instr, int length); +uint8_t v3_get_prefixes(uint8_t * instr, struct x86_prefixes * prefixes); -#define MAKE_INSTR(nm, ...) static const uchar_t V3_OPCODE_##nm[] = { __VA_ARGS__ } - -/* - * Here's how it works: - * First byte: Length. - * Following bytes: Opcode bytes. - * Special case: Last byte, if zero, doesn't need to match. - */ -MAKE_INSTR(INVD, 2, 0x0f, 0x08); -MAKE_INSTR(CPUID, 2, 0x0f, 0xa2); -MAKE_INSTR(RDMSR, 2, 0x0f, 0x32); -MAKE_INSTR(WRMSR, 2, 0x0f, 0x30); -MAKE_INSTR(RDTSC, 2, 0x0f, 0x31); -MAKE_INSTR(RDTSCP, 3, 0x0f, 0x01, 0xf9); -MAKE_INSTR(CLI, 1, 0xfa); -MAKE_INSTR(STI, 1, 0xfb); -MAKE_INSTR(RDPMC, 2, 0x0f, 0x33); -MAKE_INSTR(CLGI, 3, 0x0f, 0x01, 0xdd); -MAKE_INSTR(STGI, 3, 0x0f, 0x01, 0xdc); -MAKE_INSTR(VMRUN, 3, 0x0f, 0x01, 0xd8); -MAKE_INSTR(VMLOAD, 3, 0x0f, 0x01, 0xda); -MAKE_INSTR(VMSAVE, 3, 0x0f, 0x01, 0xdb); -MAKE_INSTR(VMCALL, 3, 0x0f, 0x01, 0xd9); -MAKE_INSTR(PAUSE, 2, 0xf3, 0x90); -MAKE_INSTR(SKINIT, 3, 0x0f, 0x01, 0xde); -MAKE_INSTR(MOV2CR, 3, 0x0f, 0x22, 0x00); -MAKE_INSTR(MOVCR2, 3, 0x0f, 0x20, 0x00); -MAKE_INSTR(MOV2DR, 3, 0x0f, 0x23, 0x00); -MAKE_INSTR(MOVDR2, 3, 0x0f, 0x21, 0x00); -MAKE_INSTR(PUSHF, 1, 0x9c); -MAKE_INSTR(POPF, 1, 0x9d); -MAKE_INSTR(RSM, 2, 0x0f, 0xaa); -MAKE_INSTR(INVLPG, 3, 0x0f, 0x01, 0x00); -MAKE_INSTR(INVLPGA,3, 0x0f, 0x01, 0xdf); -MAKE_INSTR(HLT, 1, 0xf4); -MAKE_INSTR(CLTS, 2, 0x0f, 0x06); -MAKE_INSTR(LMSW, 3, 0x0f, 0x01, 0x00); -MAKE_INSTR(SMSW, 3, 0x0f, 0x01, 0x00); - #define PREFIX_LOCK 0xF0 #define PREFIX_REPNE 0xF2 @@ -220,7 +138,7 @@ MAKE_INSTR(SMSW, 3, 0x0f, 0x01, 0x00); #define PREFIX_OP_SIZE 0x66 #define PREFIX_ADDR_SIZE 0x67 -int v3_opcode_cmp(const uchar_t * op1, const uchar_t * op2); + static inline int is_prefix_byte(uchar_t byte) { @@ -250,14 +168,15 @@ static inline int is_prefix_byte(uchar_t byte) { static inline v3_reg_t get_gpr_mask(struct guest_info * info) { switch (info->cpu_mode) { case REAL: + case LONG_16_COMPAT: return 0xffff; break; case PROTECTED: + case LONG_32_COMPAT: case PROTECTED_PAE: return 0xffffffff; case LONG: - case LONG_32_COMPAT: - case LONG_16_COMPAT: + return 0xffffffffffffffffLL; default: PrintError("Unsupported Address Mode\n"); return -1; @@ -265,6 +184,7 @@ static inline v3_reg_t get_gpr_mask(struct guest_info * info) { } + static inline addr_t get_addr_linear(struct guest_info * info, addr_t addr, struct v3_segment * seg) { switch (info->cpu_mode) { case REAL: @@ -292,328 +212,6 @@ static inline addr_t get_addr_linear(struct guest_info * info, addr_t addr, stru } -typedef enum {INVALID_ADDR_TYPE, REG, DISP0, DISP8, DISP16, DISP32} modrm_mode_t; -typedef enum {INVALID_REG_SIZE, REG64, REG32, REG16, REG8} reg_size_t; - - - - - - -struct v3_gprs; - -static inline addr_t decode_register(struct v3_gprs * gprs, char reg_code, reg_size_t reg_size) { - addr_t reg_addr; - - switch (reg_code) { - case 0: - reg_addr = (addr_t)&(gprs->rax); - break; - case 1: - reg_addr = (addr_t)&(gprs->rcx); - break; - case 2: - reg_addr = (addr_t)&(gprs->rdx); - break; - case 3: - reg_addr = (addr_t)&(gprs->rbx); - break; - case 4: - if (reg_size == REG8) { - reg_addr = (addr_t)&(gprs->rax) + 1; - } else { - reg_addr = (addr_t)&(gprs->rsp); - } - break; - case 5: - if (reg_size == REG8) { - reg_addr = (addr_t)&(gprs->rcx) + 1; - } else { - reg_addr = (addr_t)&(gprs->rbp); - } - break; - case 6: - if (reg_size == REG8) { - reg_addr = (addr_t)&(gprs->rdx) + 1; - } else { - reg_addr = (addr_t)&(gprs->rsi); - } - break; - case 7: - if (reg_size == REG8) { - reg_addr = (addr_t)&(gprs->rbx) + 1; - } else { - reg_addr = (addr_t)&(gprs->rdi); - } - break; - default: - reg_addr = 0; - break; - } - - return reg_addr; -} - - - -static inline v3_operand_type_t decode_operands16(struct v3_gprs * gprs, // input/output - char * modrm_instr, // input - int * offset, // output - addr_t * first_operand, // output - addr_t * second_operand, // output - reg_size_t reg_size) { // input - - struct modrm_byte * modrm = (struct modrm_byte *)modrm_instr; - addr_t base_addr = 0; - modrm_mode_t mod_mode = 0; - v3_operand_type_t addr_type = INVALID_OPERAND; - char * instr_cursor = modrm_instr; - - // PrintDebug("ModRM mod=%d\n", modrm->mod); - - instr_cursor += 1; - - if (modrm->mod == 3) { - mod_mode = REG; - addr_type = REG_OPERAND; - //PrintDebug("first operand = Register (RM=%d)\n",modrm->rm); - - *first_operand = decode_register(gprs, modrm->rm, reg_size); - - } else { - - addr_type = MEM_OPERAND; - - if (modrm->mod == 0) { - mod_mode = DISP0; - } else if (modrm->mod == 1) { - mod_mode = DISP8; - } else if (modrm->mod == 2) { - mod_mode = DISP16; - } - - switch (modrm->rm) { - case 0: - base_addr = gprs->rbx + gprs->rsi; - break; - case 1: - base_addr = gprs->rbx + gprs->rdi; - break; - case 2: - base_addr = gprs->rbp + gprs->rsi; - break; - case 3: - base_addr = gprs->rbp + gprs->rdi; - break; - case 4: - base_addr = gprs->rsi; - break; - case 5: - base_addr = gprs->rdi; - break; - case 6: - if (modrm->mod == 0) { - base_addr = 0; - mod_mode = DISP16; - } else { - base_addr = gprs->rbp; - } - break; - case 7: - base_addr = gprs->rbx; - break; - } - - - - if (mod_mode == DISP8) { - base_addr += (uchar_t)*(instr_cursor); - instr_cursor += 1; - } else if (mod_mode == DISP16) { - base_addr += (ushort_t)*(instr_cursor); - instr_cursor += 2; - } - - *first_operand = base_addr; - } - - *offset += (instr_cursor - modrm_instr); - *second_operand = decode_register(gprs, modrm->reg, reg_size); - - return addr_type; -} - - - -static inline v3_operand_type_t decode_operands32(struct v3_gprs * gprs, // input/output - uchar_t * modrm_instr, // input - int * offset, // output - addr_t * first_operand, // output - addr_t * second_operand, // output - reg_size_t reg_size) { // input - - uchar_t * instr_cursor = modrm_instr; - struct modrm_byte * modrm = (struct modrm_byte *)modrm_instr; - addr_t base_addr = 0; - modrm_mode_t mod_mode = 0; - uint_t has_sib_byte = 0; - v3_operand_type_t addr_type = INVALID_OPERAND; - - - - instr_cursor += 1; - - if (modrm->mod == 3) { - mod_mode = REG; - addr_type = REG_OPERAND; - - // PrintDebug("first operand = Register (RM=%d)\n",modrm->rm); - - *first_operand = decode_register(gprs, modrm->rm, reg_size); - - } else { - - addr_type = MEM_OPERAND; - - if (modrm->mod == 0) { - mod_mode = DISP0; - } else if (modrm->mod == 1) { - mod_mode = DISP8; - } else if (modrm->mod == 2) { - mod_mode = DISP32; - } - - switch (modrm->rm) { - case 0: - base_addr = gprs->rax; - break; - case 1: - base_addr = gprs->rcx; - break; - case 2: - base_addr = gprs->rdx; - break; - case 3: - base_addr = gprs->rbx; - break; - case 4: - has_sib_byte = 1; - break; - case 5: - if (modrm->mod == 0) { - base_addr = 0; - mod_mode = DISP32; - } else { - base_addr = gprs->rbp; - } - break; - case 6: - base_addr = gprs->rsi; - break; - case 7: - base_addr = gprs->rdi; - break; - } - - if (has_sib_byte) { - instr_cursor += 1; - struct sib_byte * sib = (struct sib_byte *)(instr_cursor); - int scale = 1; - - instr_cursor += 1; - - - if (sib->scale == 1) { - scale = 2; - } else if (sib->scale == 2) { - scale = 4; - } else if (sib->scale == 3) { - scale = 8; - } - - - switch (sib->index) { - case 0: - base_addr = gprs->rax; - break; - case 1: - base_addr = gprs->rcx; - break; - case 2: - base_addr = gprs->rdx; - break; - case 3: - base_addr = gprs->rbx; - break; - case 4: - base_addr = 0; - break; - case 5: - base_addr = gprs->rbp; - break; - case 6: - base_addr = gprs->rsi; - break; - case 7: - base_addr = gprs->rdi; - break; - } - - base_addr *= scale; - - - switch (sib->base) { - case 0: - base_addr += gprs->rax; - break; - case 1: - base_addr += gprs->rcx; - break; - case 2: - base_addr += gprs->rdx; - break; - case 3: - base_addr += gprs->rbx; - break; - case 4: - base_addr += gprs->rsp; - break; - case 5: - if (modrm->mod != 0) { - base_addr += gprs->rbp; - } - break; - case 6: - base_addr += gprs->rsi; - break; - case 7: - base_addr += gprs->rdi; - break; - } - - } - - - if (mod_mode == DISP8) { - base_addr += (uchar_t)*(instr_cursor); - instr_cursor += 1; - } else if (mod_mode == DISP32) { - base_addr += (uint_t)*(instr_cursor); - instr_cursor += 4; - } - - - *first_operand = base_addr; - } - - *offset += (instr_cursor - modrm_instr); - - *second_operand = decode_register(gprs, modrm->reg, reg_size); - - return addr_type; -} - - #endif // !__V3VEE__